当前位置: 首页> 新闻> 资讯 > 3d建模软件手机版_促销策划_友情链接例子_全网搜索软件下载

3d建模软件手机版_促销策划_友情链接例子_全网搜索软件下载

时间:2025/9/10 19:42:23来源:https://blog.csdn.net/qq_27689953/article/details/138258279 浏览次数:0次
3d建模软件手机版_促销策划_友情链接例子_全网搜索软件下载

module  squence_check
(
    input   clk,
    input   rst_n,

    input   i_din,

    output  o_flg

)

//syte 1

//always @(posedge clk or negedge rst_n)
//    if(!rst_n)
//        dat_sequence <= 5'd0;
//    else 
//        dat_sequence <= {dat_sequence[3 : 0],i_din};
//
//assign flg = {dat_sequence[3 : 0],i_din} == 5'b10010;
//
//always @(posedge clk or negedge rst_n)
//    if(!rst_n)
//        o_flg <= 1'd0;
//    else
//        o_flg <= flg;
//


always @(posedge clk or negedge rst_n)
    if(!rst_n)
        curr_state <= IDLE;
    else
        curr_state <= nxt_state;

always@ (*)
    case(curr_state)
        IDLE: 
            if(i_din == 1'd1)
                nxt_state = S0;
            else
                nxt_state = IDLE;
        S0:
            if(i_din == 1'd0)
                nxt_state = S1;
            else
                nxt_state = S0;
        S1:
            if(i_din == 1'd0)
                nxt_state = S2;
            else
                nxt_state = S0;
        S2:
            if(i_din == 1'd1)
                nxt_state = S3;
            else
                nxt_state = IDLE;
        S3:
            if(i_din == 1'd0)
                nxt_state = S4;
            else
                nxt_state = S0;
        S4:
            if(i_din == 1'd0)
                nxt_state = IDLE;
            else
                nxt_state = S0;
        default:
            nxt_state = IDLE;
    endcase


assign o_flg = (curr_state == S4);

endmodule

关键字:3d建模软件手机版_促销策划_友情链接例子_全网搜索软件下载

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com

责任编辑: