当前位置: 首页> 科技> 能源 > 深圳市最繁华的地方在哪里_在线制作图片美图_怎么把自己的产品推广出去_郑州官网网站推广优化公司

深圳市最繁华的地方在哪里_在线制作图片美图_怎么把自己的产品推广出去_郑州官网网站推广优化公司

时间:2025/7/11 11:35:15来源:https://blog.csdn.net/weixin_44016860/article/details/144039544 浏览次数:0次
深圳市最繁华的地方在哪里_在线制作图片美图_怎么把自己的产品推广出去_郑州官网网站推广优化公司

1. verilog 带参数例化

当一个模块被另一个模块引用例化时,高层模块可以对低层次模块的参数值进行改写。

2. defparam

可以用关键字 defaram 通过模块层次调用的方法,来改写低层次模块的参数值。

示例如下

defparam u_ram.MASK = 7 ;ram u_ram(.clk (clk) ,.a   (a  ) ,.d   (d  ) ,.en  (en ) ,.wr  (wr ) ,.q   (q  )
);/// 此模块的MAKSK已经被上层模块传参为7
module ram #( parameter MASK = 3 
)(input wire 		 clk 	,input wire [7:0] a		,input wire [7:0] d		,input wire       en		,input wire		 wr    	,output reg [7:0] q	
);reg [7:0] mem [0:(1<<7)-1] ;always @(posedge clk) beginif(en && wr) beginmem[a] <= d & mask ;endelse if(en && !wr) beginq <= mem[a] & mask ;end
endendmodule

3. 带参数模块例化

示例如下

ram #(.aw(4) ,.dw(4) 
)
u_ram(.clk 	(clk		) ,.a 		(a[aw-1:0]	) ,.d 		(d			) ,.en 	(en			) ,.wr 	(wr			) ,.q		(q			)
);/// 此模块下的aw,dw都被上层模块传参为4
module ram #(parameter aw = 2 ,parameter dw = 3
(input wire 			clk ,input wire [aw-1:0] a   , /// 现在a的位宽为3,原始为1input wire [dw-1:0] d   , /// 现在b的位宽为3,原始为2input wire 			en  ,input wire			wr  ,output reg [dw-1:0] q   
);.........endmodule

笔记

实际工作中,带参数例化的情况较多。


关键字:深圳市最繁华的地方在哪里_在线制作图片美图_怎么把自己的产品推广出去_郑州官网网站推广优化公司

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com

责任编辑: